Search results

Search for "plasma etching" in Full Text gives 41 result(s) in Beilstein Journal of Nanotechnology.

A mid-infrared focusing grating coupler with a single circular arc element based on germanium on silicon

  • Xiaojun Zhu,
  • Shuai Li,
  • Ang Sun,
  • Yongquan Pan,
  • Wen Liu,
  • Yue Wu,
  • Guoan Zhang and
  • Yuechun Shi

Beilstein J. Nanotechnol. 2023, 14, 478–484, doi:10.3762/bjnano.14.38

Graphical Abstract
  • plasma etching (ICP). EBL is used to produce lithographically the grating pattern into the resist, which can be further transferred onto the Ge layer by ICP. This is a simple manufacturing process that requires only one single etch step. Then, we can use a continuous-wave single-frequency tunable MIR
PDF
Album
Full Research Paper
Published 06 Apr 2023

Effects of focused electron beam irradiation parameters on direct nanostructure formation on Ag surfaces

  • Jānis Sniķeris,
  • Vjačeslavs Gerbreders,
  • Andrejs Bulanovs and
  • Ēriks Sļedevskis

Beilstein J. Nanotechnol. 2022, 13, 1004–1010, doi:10.3762/bjnano.13.87

Graphical Abstract
  • distribution there. Under the assumption that carbon and carbon-containing silver areas are more susceptible to N plasma etching, we could theorize that carbon atoms in this particular case have reached up to 140 nm deep within the Ag layer. The carbon diffusion could have been caused by a number of reasons
PDF
Album
Full Research Paper
Published 22 Sep 2022

DNA aptamer selection and construction of an aptasensor based on graphene FETs for Zika virus NS1 protein detection

  • Nathalie B. F. Almeida,
  • Thiago A. S. L. Sousa,
  • Viviane C. F. Santos,
  • Camila M. S. Lacerda,
  • Thais G. Silva,
  • Rafaella F. Q. Grenfell,
  • Flavio Plentz and
  • Antero S. R. Andrade

Beilstein J. Nanotechnol. 2022, 13, 873–881, doi:10.3762/bjnano.13.78

Graphical Abstract
  • previously described by our group elsewhere [24]. The dimensions of the sensing region (100 μm × 100 μm) were defined by O2 plasma etching. The source and drain electrodes were fabricated by electron-beam evaporation of 2 nm/100 nm of Ti/Au, and we covered the metal contacts with a 10 μm thick passivation
PDF
Album
Supp Info
Full Research Paper
Published 02 Sep 2022

Optimizing PMMA solutions to suppress contamination in the transfer of CVD graphene for batch production

  • Chun-Da Liao,
  • Andrea Capasso,
  • Tiago Queirós,
  • Telma Domingues,
  • Fatima Cerqueira,
  • Nicoleta Nicoara,
  • Jérôme Borme,
  • Paulo Freitas and
  • Pedro Alpuim

Beilstein J. Nanotechnol. 2022, 13, 796–806, doi:10.3762/bjnano.13.70

Graphical Abstract
  • films were then transferred onto the patterned wafer until all device areas were covered. After removing the PMMA, graphene was patterned using optical lithography and oxygen plasma etching. Finally, the sacrificial layer was removed by wet etching. Wafer 2: An additional layer of Al2O3 was deposited on
PDF
Album
Supp Info
Full Research Paper
Published 18 Aug 2022

Electrostatic pull-in application in flexible devices: A review

  • Teng Cai,
  • Yuming Fang,
  • Yingli Fang,
  • Ruozhou Li,
  • Ying Yu and
  • Mingyang Huang

Beilstein J. Nanotechnol. 2022, 13, 390–403, doi:10.3762/bjnano.13.32

Graphical Abstract
  • quickly realize positioning and alignment of CNTs in 10–60 s. GR-NEM switches Based on the large-scale fabrication of graphene (GR) using CVD and oxygen plasma etching, GR-NEM switches have attracted the attention of researchers. Table 2 summarizes GR-NEM switch structures described in the literature. Two
PDF
Album
Review
Published 12 Apr 2022

An overview of microneedle applications, materials, and fabrication methods

  • Zahra Faraji Rad,
  • Philip D. Prewett and
  • Graham J. Davies

Beilstein J. Nanotechnol. 2021, 12, 1034–1046, doi:10.3762/bjnano.12.77

Graphical Abstract
  • layer of polymer introduced between the mould and the metal structure to facilitate chemical lift-off separation of the final array. For hollow metal microneedles, a plasma etching step was performed prior to metal deposition [106]. Unlike the ubiquitous stainless steel hypodermic needle, safety
PDF
Album
Review
Published 13 Sep 2021

In situ transport characterization of magnetic states in Nb/Co superconductor/ferromagnet heterostructures

  • Olena M. Kapran,
  • Roman Morari,
  • Taras Golod,
  • Evgenii A. Borodianskyi,
  • Vladimir Boian,
  • Andrei Prepelita,
  • Nikolay Klenov,
  • Anatoli S. Sidorenko and
  • Vladimir M. Krasnov

Beilstein J. Nanotechnol. 2021, 12, 913–923, doi:10.3762/bjnano.12.68

Graphical Abstract
  • the vacuum. We use a Nb target (99.95% purity) for deposition of S-layers, Co (99.95% purity) for F-layers, and Si (99.999%) for seeding bottom and protective top layers. MLs are grown on a Si(111) wafer. Prior to deposition, targets were precleaned by plasma-etching for 3 min and in addition for 1
PDF
Album
Full Research Paper
Published 17 Aug 2021

Hexagonal boron nitride: a review of the emerging material platform for single-photon sources and the spin–photon interface

  • Stefania Castelletto,
  • Faraz A. Inam,
  • Shin-ichiro Sato and
  • Alberto Boretti

Beilstein J. Nanotechnol. 2020, 11, 740–769, doi:10.3762/bjnano.11.61

Graphical Abstract
  • ][120] from low to high energy electrons, with the high energy electrons improving the yield and the spatial distribution of the emitters away from the edges in the center of the flake; oxygen plasma etching associated with annealing [121] and in particular a process of only two steps, including Ar
  • plasma etching and subsequent annealing in Ar, yielding a considerable increase in the concentration of emitters in h-BN [122]; laser irradiation [123] even if the formation origin, in this case, is unclear; substrate strain-induced where a 20 nm-thick h-BN film grown via CVD is transferred into SiO2
PDF
Album
Review
Published 08 May 2020

Experimental study of an evanescent-field biosensor based on 1D photonic bandgap structures

  • Jad Sabek,
  • Francisco Javier Díaz-Fernández,
  • Luis Torrijos-Morán,
  • Zeneida Díaz-Betancor,
  • Ángel Maquieira,
  • María-José Bañuls,
  • Elena Pinilla-Cienfuegos and
  • Jaime García-Rupérez

Beilstein J. Nanotechnol. 2019, 10, 967–974, doi:10.3762/bjnano.10.97

Graphical Abstract
  • silsesquioxane (HSQ) resist layer. Then, the layout was transferred to the top 220 nm thick silicon layer of the SOI chip by means of inductively coupled plasma etching. 70 nm deep shallow etch 1D grating couplers were created for accessing the photonic chip. Finally, the chip is covered with a 400 nm thick SiO2
PDF
Album
Full Research Paper
Published 26 Apr 2019

Site-specific growth of oriented ZnO nanocrystal arrays

  • Rekha Bai,
  • Dinesh K. Pandya,
  • Sujeet Chaudhary,
  • Veer Dhaka,
  • Vladislav Khayrudinov,
  • Jori Lemettinen,
  • Christoffer Kauppinen and
  • Harri Lipsanen

Beilstein J. Nanotechnol. 2019, 10, 274–280, doi:10.3762/bjnano.10.26

Graphical Abstract
  • grow ZnO nanocrystals on both bare and on an array of pores patterned on the polymer-coated indium-doped tin oxide (ITO) conducting substrates. The patterning process for the polymer, poly(Disperse Red 1 acrylate), involves laser interference lithography and oxygen plasma etching and has been reported
PDF
Album
Full Research Paper
Published 24 Jan 2019

Charged particle single nanometre manufacturing

  • Philip D. Prewett,
  • Cornelis W. Hagen,
  • Claudia Lenk,
  • Steve Lenk,
  • Marcus Kaestner,
  • Tzvetan Ivanov,
  • Ahmad Ahmad,
  • Ivo W. Rangelow,
  • Xiaoqing Shi,
  • Stuart A. Boden,
  • Alex P. G. Robinson,
  • Dongxu Yang,
  • Sangeetha Hari,
  • Marijke Scotuzzi and
  • Ejaz Huq

Beilstein J. Nanotechnol. 2018, 9, 2855–2882, doi:10.3762/bjnano.9.266

Graphical Abstract
  • , nanomaterials and nano-electro-mechanical systems. Until now, the leading method for scaled-up fabrication of nanostructures has been optical lithography, combined with pattern transfer techniques including plasma etching. Despite its success, optical lithography is reaching its resolution limits and new
  • [36] and this was chosen for scanning He+ ion beam lithography (SHIBL) experiments. In order to enable sub-10 nm patterning, an ultra-thin resist film, with small molecules is required. High-fidelity pattern transfer via plasma etching requires high carbon content in the resist, with as many of the
  • ][104]. However, pattern transfer of sub-20 nm EBID structures is far more difficult, and has only recently been reported by Scotuzzi et al. [105]. These authors propose to use EBID to fabricate stamps for sub-10 nm NIL, followed by a pattern transfer step using plasma etching to increase the aspect
PDF
Album
Review
Published 14 Nov 2018

High-throughput micro-nanostructuring by microdroplet inkjet printing

  • Hendrikje R. Neumann and
  • Christine Selhuber-Unkel

Beilstein J. Nanotechnol. 2018, 9, 2372–2380, doi:10.3762/bjnano.9.222

Graphical Abstract
  • Ar/H2 plasma etching at 300 W for 1 h. An exemplary quasi-hexagon pattern is drawn (yellow) for visualization. (B) Inkjet-printed 4 × 4 droplet pattern after drying and Ar/H2 plasma treatment at 300 W for 1 h. Both images were recorded with SEM. SEM images of size and droplet shape on the five
  • different materials (A–E) and nanodot distribution after Ar/H2 plasma etching in the center of each droplet (A1−E1). The substrates are: (A) poly-silicon, (B) amorphous silicon of 200 nm thickness on poly-silicon, (C) amorphous silicon of 400 nm thickness on poly-silicon, (D) 50 µm thick free-standing
PDF
Album
Full Research Paper
Published 04 Sep 2018

Electrospun one-dimensional nanostructures: a new horizon for gas sensing materials

  • Muhammad Imran,
  • Nunzio Motta and
  • Mahnaz Shafiei

Beilstein J. Nanotechnol. 2018, 9, 2128–2170, doi:10.3762/bjnano.9.202

Graphical Abstract
  • fabrication strategy for synthesis of SnO2 NFs with a branch-on-stem morphology using electrospinning, oxygen plasma etching, sputtering and annealing. Electrospun PVP NFs were first etched with oxygen plasma to make a hierarchical template. Afterwards, a SnO2 film is deposited by sputtering and the PVP
PDF
Album
Supp Info
Review
Published 13 Aug 2018

Localized photodeposition of catalysts using nanophotonic resonances in silicon photocathodes

  • Evgenia Kontoleta,
  • Sven H. C. Askes,
  • Lai-Hung Lai and
  • Erik C. Garnett

Beilstein J. Nanotechnol. 2018, 9, 2097–2105, doi:10.3762/bjnano.9.198

Graphical Abstract
  • (1 min and 30 sec, 50 sccm O2, HF forward power 60 W, ICP forward power 100 W, 6 mTorr). The temperature used for all the steps of the plasma etching was 20 °C. The ratio of HBr/O2 was very crucial for the control of the shape of the silicon structures. For the nanocones a ratio of 48.2:1.8 sccm (HBr
  • rates during plasma etching. (b, c) FDTD simulations of absorbed power in each nanostructure at (b) 532 nm and (c) 638 nm normalized to the maximum value. a) An overlay image of a backscattered electron (red; in-lens mirror detector) and secondary electron (grey; through-the-lens detector) SEM image of
PDF
Album
Supp Info
Full Research Paper
Published 03 Aug 2018

Nanoporous silicon nitride-based membranes of controlled pore size, shape and areal density: Fabrication as well as electrophoretic and molecular filtering characterization

  • Axel Seidenstücker,
  • Stefan Beirle,
  • Fabian Enderle,
  • Paul Ziemann,
  • Othmar Marti and
  • Alfred Plettl

Beilstein J. Nanotechnol. 2018, 9, 1390–1398, doi:10.3762/bjnano.9.131

Graphical Abstract
  • gas flow and adjustable sample temperature [28][29]. Spherical Au NPs with controlled size and inter-particle distance are fabricated by a well-proven micellar technique [30][31][32][33][34][35]. These particles should also be applicable as a mask in NP-assisted plasma etching of conical pores in
PDF
Album
Supp Info
Full Research Paper
Published 09 May 2018

Strategy to discover full-length amyloid-beta peptide ligands using high-efficiency microarray technology

  • Clelia Galati,
  • Natalia Spinella,
  • Lucio Renna,
  • Danilo Milardi,
  • Francesco Attanasio,
  • Michele Francesco Maria Sciacca and
  • Corrado Bongiorno

Beilstein J. Nanotechnol. 2017, 8, 2446–2453, doi:10.3762/bjnano.8.243

Graphical Abstract
  • [41][43]. The O2-plasma cleaning was performed using the plasma etching system, Sentech 591, using 60 sccm of oxygen fluxing for 2 min. The resulting epoxysilane coating provide a proper surface for the immobilization of peptides in a microarray format. Moreover, due to the low fluorescence background
PDF
Album
Supp Info
Full Research Paper
Published 20 Nov 2017

Parylene C as a versatile dielectric material for organic field-effect transistors

  • Tomasz Marszalek,
  • Maciej Gazicki-Lipman and
  • Jacek Ulanski

Beilstein J. Nanotechnol. 2017, 8, 1532–1545, doi:10.3762/bjnano.8.155

Graphical Abstract
  • , namely charge trapping caused by mechanical bending [33]. In another work, ultra-thin Parylene C insulating layers were fabricated on Au gate electrodes by reducing the parylene film thickness to 18 nm with the help of oxygen plasma etching [33]. This procedure enabled the manufacturing of OFET devices
PDF
Album
Review
Published 28 Jul 2017

Near-field surface plasmon field enhancement induced by rippled surfaces

  • Mario D’Acunto,
  • Francesco Fuso,
  • Ruggero Micheletto,
  • Makoto Naruse,
  • Francesco Tantussi and
  • Maria Allegrini

Beilstein J. Nanotechnol. 2017, 8, 956–967, doi:10.3762/bjnano.8.97

Graphical Abstract
  • ) that we will use in the SIE picture. Here, we are using the notation that , where and are unit vectors along the x and y directions. Since many patterning techniques, including ballistic deposition processes (such as molecular beam epitaxy or IBS) or plasma etching, are characterized by dynamic
PDF
Album
Supp Info
Full Research Paper
Published 28 Apr 2017

Relationships between chemical structure, mechanical properties and materials processing in nanopatterned organosilicate fins

  • Gheorghe Stan,
  • Richard S. Gates,
  • Qichi Hu,
  • Kevin Kjoller,
  • Craig Prater,
  • Kanwal Jit Singh,
  • Ebony Mays and
  • Sean W. King

Beilstein J. Nanotechnol. 2017, 8, 863–871, doi:10.3762/bjnano.8.88

Graphical Abstract
  • organic component in an organosilicate induced by the plasma etching and ashing processes utilized to transfer lithographically defined features into these materials [32]. Likewise, we have also recently demonstrated the ability of CR-AFM to resolve nanoscale variations in the mechanical stiffness of
  • layer and a hard mask. Standard 193 nm immersion lithography and etching techniques were utilized to form a grid pattern in the first backbone layer. A spacer dielectric was then deposited over the backbone grid and the backbone material was selectively removed. Standard plasma-etching techniques were
  • using standard plasma-etching techniques. On completion of transferring the pitch quartered pattern into the nanoporous organosilicate, the remaining hard mask and plasma-etching residues were removed using standard plasma-ashing and wet chemical cleans. Figure 1 provides schematic illustrations and AFM
PDF
Album
Full Research Paper
Published 13 Apr 2017

Advances in the fabrication of graphene transistors on flexible substrates

  • Gabriele Fisichella,
  • Stella Lo Verso,
  • Silvestra Di Marco,
  • Vincenzo Vinciguerra,
  • Emanuela Schilirò,
  • Salvatore Di Franco,
  • Raffaella Lo Nigro,
  • Fabrizio Roccaforte,
  • Amaia Zurutuza,
  • Alba Centeno,
  • Sebastiano Ravesi and
  • Filippo Giannazzo

Beilstein J. Nanotechnol. 2017, 8, 467–474, doi:10.3762/bjnano.8.50

Graphical Abstract
  • large area (100 mm diameter) of the target substrate by a PMMA-assisted wet transfer procedure and patterned by soft O2 plasma etching. Figure 4a shows the tAFM morphology of graphene. The typical, wrinkled morphology of CVD-synthesized graphene [13] is less evident on PEN substrates compared with
PDF
Album
Full Research Paper
Published 20 Feb 2017

Graphene-enhanced plasmonic nanohole arrays for environmental sensing in aqueous samples

  • Christa Genslein,
  • Peter Hausler,
  • Eva-Maria Kirchner,
  • Rudolf Bierl,
  • Antje J. Baeumner and
  • Thomas Hirsch

Beilstein J. Nanotechnol. 2016, 7, 1564–1573, doi:10.3762/bjnano.7.150

Graphical Abstract
  • on top of the glass slide by plasma etching. The periodicity (P) is not affected by this process, as the particles remain at their initial positions. Spheres were etched from 0.82 to 0.36 µm with a small standard deviation of a maximum of ±0.05 µm (particle-size distribution shown in Figure S1
PDF
Album
Supp Info
Full Research Paper
Published 01 Nov 2016

Magnetic switching of nanoscale antidot lattices

  • Ulf Wiedwald,
  • Joachim Gräfe,
  • Kristof M. Lebecki,
  • Maxim Skripnik,
  • Felix Haering,
  • Gisela Schütz,
  • Paul Ziemann,
  • Eberhard Goering and
  • Ulrich Nowak

Beilstein J. Nanotechnol. 2016, 7, 733–750, doi:10.3762/bjnano.7.65

Graphical Abstract
  • ; magnetic nanostructures; magnetic switching; micromagnetic simulations; plasma etching; spin ice; X-ray microscopy; Introduction In nanotechnology, a widely used approach for tailoring physical properties on the nanometre length scale is the introduction of practically circular holes – so-called antidots
  • self-assembly of monodisperse PS spheres on the chosen substrate; (b) the homogeneous reduction of the PS sphere diameter by setting the plasma etching time while maintaining their initial positions on the substrate. Then, the nanoscale masks are ready for the deposition of a magnetic film and a
PDF
Album
Full Research Paper
Published 24 May 2016

Orientation of FePt nanoparticles on top of a-SiO2/Si(001), MgO(001) and sapphire(0001): effect of thermal treatments and influence of substrate and particle size

  • Martin Schilling,
  • Paul Ziemann,
  • Zaoli Zhang,
  • Johannes Biskupek,
  • Ute Kaiser and
  • Ulf Wiedwald

Beilstein J. Nanotechnol. 2016, 7, 591–604, doi:10.3762/bjnano.7.52

Graphical Abstract
  • metallic state. Details on the preparation of NPs can be found elsewhere [11]. Further annealing steps were applied in H2 atmosphere at a pressure of 10−4 mbar. The plasma etching system is attached to an ultrahigh vacuum chamber (UHV) for structural and chemical analysis allowing in situ inspection by
  • carbon film was then completely removed by reactive plasma etching prior to successive annealing steps. FePt reference films were prepared on native SiO2 on top of Si(001), Al2O3(001), and MgO(001) by pulsed laser deposition (PLD) from Fe and Pt targets under UHV conditions in a separate vacuum system
  • , charging has been minimized by a carbon flash evaporation, which, however, is removed by plasma etching before the subsequent annealing steps. The intensity along the Debye–Scherrer rings is homogeneous, thus the particles are randomly oriented. Diffraction features from the substrate are not visible
PDF
Album
Full Research Paper
Published 21 Apr 2016

Electrical properties and mechanical stability of anchoring groups for single-molecule electronics

  • Riccardo Frisenda,
  • Simge Tarkuç,
  • Elena Galán,
  • Mickael L. Perrin,
  • Rienk Eelkema,
  • Ferdinand C. Grozema and
  • Herre S. J. van der Zant

Beilstein J. Nanotechnol. 2015, 6, 1558–1567, doi:10.3762/bjnano.6.159

Graphical Abstract
  • plasma etching step, performed to suspend the central constriction, the sample is mounted in a three-point bending mechanism and electrically contacted. By bending the substrate with a pushing rod controlled by a stepper motor or a piezoelectric element, the gold wire can be broken thereby forming two
PDF
Album
Supp Info
Full Research Paper
Published 17 Jul 2015

Fabrication of high-resolution nanostructures of complex geometry by the single-spot nanolithography method

  • Alexander Samardak,
  • Margarita Anisimova,
  • Aleksei Samardak and
  • Alexey Ognev

Beilstein J. Nanotechnol. 2015, 6, 976–986, doi:10.3762/bjnano.6.101

Graphical Abstract
  • transfer of patterns onto the substrates using wet chemical or plasma etching is possible due to the high chemical and mechanical resistance of the carbonized templates. An example of a practical application of the single-spot lithography method is shown in Figure 14. The patterned polymer nanostructures
PDF
Album
Full Research Paper
Published 17 Apr 2015
Other Beilstein-Institut Open Science Activities